Tools Tracing...

Technical questions regarding the XTC tools and programming with XMOS.
User avatar
jonathan
Respected Member
Posts: 377
Joined: Thu Dec 10, 2009 6:07 pm

Tools Tracing...

Post by jonathan »

OK so I ran a few successful simulations and then suddenly Tracing breaks, seemingly at random - it sort of half-traces stuff (some signals are traced and some just stop, mid-trace) and requires a restart (which fixes the problems - suggesting it's not code-related).

Anyone else experiencing this? I'm at a loss to find what causes it, can't seem to repeat it at will, it just catches me randomly when I am not expecting it.


Image
User avatar
larry
Respected Member
Posts: 275
Joined: Fri Mar 12, 2010 6:03 pm

Post by larry »

If you find a reproducable case, please report it by creating a support ticket.

There is one known issue with plain text trace (not the VCD trace) in simulator version 10.4 - certain code sequence can cause the simulator to fail with the following error message:

Assertion failed: (0), function getExceptionCause, file Processor.cpp, line 2948.

Your case, if you can report one, can then get checked against this known issue or raised as a new issue to be fixed in the following tools release.